After an evaluation, GNOME has moved from Bugzilla to GitLab. Learn more about GitLab.
No new issues can be reported in GNOME Bugzilla anymore.
To report an issue in a GNOME project, go to GNOME GitLab.
Do not go to GNOME Gitlab for: Bluefish, Doxygen, GnuCash, GStreamer, java-gnome, LDTP, NetworkManager, Tomboy.
Bug 618374 - VHDL: it's not possible to document concurrent statements
VHDL: it's not possible to document concurrent statements
Status: RESOLVED INCOMPLETE
Product: doxygen
Classification: Other
Component: general
unspecified
Other Windows
: Normal normal
: ---
Assigned To: Dimitri van Heesch
Dimitri van Heesch
Depends on:
Blocks:
 
 
Reported: 2010-05-11 14:57 UTC by Steffen Jaeckel
Modified: 2012-11-18 11:12 UTC
See Also:
GNOME target: ---
GNOME version: ---


Attachments
patch for documenting inline code\concurrent statements (14.84 KB, application/octet-stream)
2011-02-24 16:58 UTC, mk
  Details
patch for documenting inline code\concurrent statements (16.89 KB, patch)
2011-02-25 14:54 UTC, mk
none Details | Review
documenting inline code\concurrent statements (15.66 KB, patch)
2011-02-28 18:29 UTC, mk
none Details | Review

Description Steffen Jaeckel 2010-05-11 14:57:02 UTC
In VHDL it's possible to do things either in processes or as concurrent statements, doxygen allows currently only processes to be documented.

A short example would be

entity ent is
port ( clr: in std_logic;
       clk: in std_logic;
       s: out std_logic);
end ent;
architecture ent_arch of ent is
signal ent_sig1 : std_logic;
begin

--! @brief      do something in process
--! @details    and this will be document
proc_foo: process(clr, clk)
 if(clr = '1') then
  ent_sig1 <= '0';
 elsif (rising_edge(clk)) then
  ent_sig1 <= not(ent_sig1);
 end if;
end process proc_foo;

--! @brief      do something concurrent
--! @details    but this can't be documented
--!             or worse, it will be added to a following process :(
s <= clr or ent_sig1; --! this doesn't work neither :(
end ent_arch;
Comment 1 s.sampat 2010-05-17 09:51:06 UTC
Confirmed. This bug needs to be definitely corrected.

As of now there is now way to document the concurrent statements in doxygen. I am forced to omit this documentation from doxygen processing as these comments are added to the next process' comments, which is totally wrong.

It is also quite difficult to decide now, how to let the concurrent statements be documented. A sensible way would be to list all documented concurrent statements in order of appearance in the code, under a heading "Concurrent Statements" or something similar to that.
Comment 2 mk 2011-02-24 16:58:17 UTC
Created attachment 181849 [details]
patch for documenting inline code\concurrent statements

inline code documentation

syntax

 --!\brief bla bla
 --!\code name_of statement
          ...
          code
          ...
   --!\endcode


architecture Behavioral of comp_stc_freq_ctrl is

begin
  
  p11: process(clk,a,s,g,d,de)
  begin
   --! \brief inline fragment
   --! \code inline_code 

  if rising_edge(clk) then
	   if rst='1' then 
        o <= '0'; 
      else     
        o <= i;
      end if;
    end if;
  
   --! \endcode   
   
  end process;


 --! \brief concurrent statement
 --! \code concurrent
  ds_mem <= wr_en AND req;
 --! \endcode	

end Behavioral;
Comment 3 mk 2011-02-24 17:01:19 UTC
the patch is against 1.7.3
Comment 4 mk 2011-02-25 14:54:39 UTC
Created attachment 181917 [details] [review]
patch for documenting inline code\concurrent statements

improved my previous patch.
Comment 5 Dimitri van Heesch 2011-02-26 15:32:28 UTC
Hi Martin,

I'll include the patch.
I did notice the LaTeX output produced for the example you provided is broken (both with and without patch). Do you test this yourself?
Comment 6 mk 2011-02-27 21:05:11 UTC
I did not  check the Latex output. 
If I find a bug, I'll make a new patch.

Martin
Comment 7 mk 2011-02-28 18:29:21 UTC
Created attachment 182116 [details] [review]
documenting inline code\concurrent statements

Indeed the LaTex output is broken.
This patch should fix this bug.
Comment 8 Dimitri van Heesch 2011-02-28 20:00:33 UTC
Hi Martin,

I had already applied your patch (and fixed the formatting).
Can you tell me what you changed to fix the latex bug, or attach a delta patch for that fix only?
Comment 9 Tobias Mueller 2011-04-15 13:22:34 UTC
Martin: Ping.
Comment 10 Fabio Durán Verdugo 2011-06-28 23:52:23 UTC
Martin: ping!
Comment 11 Tobias Mueller 2011-10-20 09:39:42 UTC
Closing this bug report as no further information has been provided. Please feel free to reopen this bug if you can provide the information asked for.
Thanks!